Saturday, January 27, 2007

27 Jan 2006, 12am on MRT!!!

happy birthday to me!!! heehee... 23 yrs old liao... (hui & lian, i'm joining u gals liao lah...). sound kind of old ah... but nvm lah, everyone has to grow old mah... so nutting to worry, rite? whahaha...

tis yr bday will be diff from last yr... cos later in the evening i will go for dinner with my family... treat from my bro... whahaha... so long nv spend bday with family liao... good chance ah...

anyway, the very 1st moment for tis yr bday was spent on MRT ah! whahaha... was waiting for MRT to go home at orchard MRT with Chee Wei. heehee... tis old friend of mine very good lah. went out for dinner and movie with me & gave me a treat for all these. "hey Chee Wei, thank u very much ah!!!" heehee...

taken at strike of 12... when i'm "freshly" 23 yrs old & he is already 24yrs old for almost 1 mth only ah... he ah... standing beside me, infront of the MRT big clock oso din realise is 12 am liao... whahaha... old uncle... forgetful ah... oops~~!!!


haha... we went to watch "Happy Birthday" by Louis Koo and Rene Liu. heehee... quite a nice show.. ending was good in the sense tat it was really short and to the point. no drag on... & i teared a bit towards the end... *faint*... lucky is in the dark... haha... if u guys dun mind watching chinese movie in theatre, can try to go and watch. not too bad ah... worth watching!


& here r my bday wishes for tis yr... "finish my degree in ONE piece! whahaha..."


& bday thank u to... EVERYONE who wishes me thru sms, msn and watever way... & it had been a fruitful year with many pressies from all the loved ones... thank u!!!!


& my bro give me a BIG pressie too... by asking me to report to work at his work place on my bday lor... ARGH~!!! i will be working as his admin girl for abt 2 weeks while he away for reservist... whahaha...


okok... lastly... HAPPY BIRTHDAY TO ME!!! yeahz~!

No comments: